Timing-driven variation-aware synthesis of hybrid mesh/tree clock distribution networks

نویسندگان

  • Ameer Abdelhadi
  • Ran Ginosar
  • Avinoam Kolodny
  • Eby G. Friedman
چکیده

Clock skew variations adversely affect timing margins, limiting performance, reducing yield, and may also lead to functional faults. Non-tree clock distribution networks, such as meshes and crosslinks, are employed to reduce skew and also to mitigate skew variations. These networks, however, increase the dissipated power while consuming significant metal resources. Several methods have been proposed to trade off power and wires to reduce skew. In this paper, an efficient algorithm is presented to reduce clock skew variations while minimizing power dissipation and metal area overhead. With a combination of nonuniform meshes and unbuffered trees (UBT), a variation-tolerant hybrid clock distribution network is produced. Clock skew variations are selectively reduced based on circuit timing information generated by static timing analysis (STA). The skew variation reduction procedure is prioritized for critical timing paths, since these paths are more sensitive to skew variations. A framework for skew variation management is proposed. The algorithm has been implemented in a standard 65 nm cell library using standard EDA tools, and tested on several benchmark circuits. As compared to other nonuniform mesh construction methods that do not support managed skew tolerance, experimental results exhibit a 41% average reduction in metal area and a 43% average reduction in power dissipation. As compared to other methods that employ skew tolerance management techniques but do not use a hybrid clock topology, an 8% average reduction in metal area and a 9% average reduction in power dissipation are achieved. & 2012 Elsevier B.V. All rights reserved.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

A Multiple Level Network Approach for Clock Skew Minimization Under Process Variations

In this paper, we investigate the effect of multilevel networks on clock skew. We first define the simplified RC circuit model of a hybrid clock mesh/tree structure. The skew reduction effects of shunt segments contributed by the mesh is derived analytically from the simplified model. The result indicates that the skew decreases proportionally to the exponential of −Rs/R, where Rs is the drivin...

متن کامل

Interference-Aware and Cluster Based Multicast Routing in Multi-Radio Multi-Channel Wireless Mesh Networks

Multicast routing is one of the most important services in Multi Radio Multi Channel (MRMC) Wireless Mesh Networks (WMN). Multicast routing performance in WMNs could be improved by choosing the best routes and the routes that have minimum interference to reach multicast receivers. In this paper we want to address the multicast routing problem for a given channel assignment in WMNs. The channels...

متن کامل

Low Power Clock Network Design

Power is a primary concern in modern circuits. Clock distribution networks, in particular, are an essential element of a synchronous digital circuit and a significant power consumer. Clock distribution networks are subject to clock skew due to process, voltage, and temperature (PVT) variations and load imbalances. A target skew between sequentially-adjacent registers can be obtained in a balanc...

متن کامل

Capacitance driven clock mesh synthesis to minimize skew and power dissipation

Tree driven mesh is gaining popularity as a viable method to distribute clock with minimum skew in Deep Sub Micron (DSM) technology. In the design of the leaf level mesh, the density of the mesh at various parts of the chip is a crucial factor which decides the clock skew and power dissipated in the mesh. We propose a capacitance driven mesh formation methodology which forms a minimum wire leng...

متن کامل

ANALYSIS AND OPTIMIZATION OF VLSI CLOCK DISTRIBUTION NETWORKS FOR SKEW VARIABILITY REDUCTION A Thesis by ANAND KUMAR RAJARAM

Analysis and Optimization of VLSI Clock Distribution Networks for Skew Variability Reduction. (August 2004) Anand Kumar Rajaram, B.E, Anna University Co–Chairs of Advisory Committee: Dr. Jiang Hu Dr. Rabi Mahapatra As VLSI technology moves into the Ultra-Deep Sub-Micron (UDSM) era, manufacturing variations, power supply noise and temperature variations greatly affect the performance and yield o...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • Integration

دوره 46  شماره 

صفحات  -

تاریخ انتشار 2013